]> git.mxchange.org Git - friendica.git/blob - library/Text_Highlighter/Text/Highlighter/AVRC.php
This merge brings back dbm::is_result() where I could find it.
[friendica.git] / library / Text_Highlighter / Text / Highlighter / AVRC.php
1
2 <?php
3 /**
4  * Auto-generated class. AVRC syntax highlighting
5  * 
6  * 
7  *              C/C++ highlighter specific to Atmel AVR microcontrollers
8  *      
9  *
10  * PHP version 4 and 5
11  *
12  * LICENSE: This source file is subject to version 3.0 of the PHP license
13  * that is available through the world-wide-web at the following URI:
14  * http://www.php.net/license/3_0.txt.  If you did not receive a copy of
15  * the PHP License and are unable to obtain it through the web, please
16  * send a note to license@php.net so we can mail you a copy immediately.
17  *
18  * @copyright  2004-2006 Andrey Demenev
19  * @license    http://www.php.net/license/3_0.txt  PHP License
20  * @link       http://pear.php.net/package/Text_Highlighter
21  * @category   Text
22  * @package    Text_Highlighter
23  * @version    generated from: avrc.xml
24  * @author Andrey Demenev <demenev@gmail.com>
25  *
26  */
27
28 /**
29  * @ignore
30  */
31
32 require_once 'Text/Highlighter.php';
33
34 /**
35  * Auto-generated class. AVRC syntax highlighting
36  *
37  * @author Andrey Demenev <demenev@gmail.com>
38  * @category   Text
39  * @package    Text_Highlighter
40  * @copyright  2004-2006 Andrey Demenev
41  * @license    http://www.php.net/license/3_0.txt  PHP License
42  * @version    Release: 0.7.0
43  * @link       http://pear.php.net/package/Text_Highlighter
44  */
45 class  Text_Highlighter_AVRC extends Text_Highlighter
46 {
47     var $_language = 'avrc';
48
49     /**
50      * PHP4 Compatible Constructor
51      *
52      * @param array  $options
53      * @access public
54      */
55     function Text_Highlighter_AVRC($options=array())
56     {
57         $this->__construct($options);
58     }
59
60
61     /**
62      *  Constructor
63      *
64      * @param array  $options
65      * @access public
66      */
67     function __construct($options=array())
68     {
69
70         $this->_options = $options;
71         $this->_regs = array (
72             -1 => '/((?i)")|((?i)\\{)|((?i)\\()|((?i)\\[)|((?i)[a-z_]\\w*)|((?i)\\b0[xX][\\da-f]+)|((?i)\\b\\d\\d*|\\b0\\b)|((?i)\\b0[0-7]+)|((?i)\\b(\\d*\\.\\d+)|(\\d+\\.\\d*))|((?mi)^[ \\t]*#include)|((?mii)^[ \\t]*#[ \\t]*[a-z]+)|((?i)\\d*\\.?\\d+)|((?i)\\/\\*)|((?i)\\/\\/.+)/',
73             0 => '/((?i)\\\\)/',
74             1 => '/((?i)")|((?i)\\{)|((?i)\\()|((?i)\\[)|((?i)[a-z_]\\w*)|((?i)\\b0[xX][\\da-f]+)|((?i)\\b\\d\\d*|\\b0\\b)|((?i)\\b0[0-7]+)|((?i)\\b(\\d*\\.\\d+)|(\\d+\\.\\d*))|((?mi)^[ \\t]*#include)|((?mii)^[ \\t]*#[ \\t]*[a-z]+)|((?i)\\d*\\.?\\d+)|((?i)\\/\\*)|((?i)\\/\\/.+)/',
75             2 => '/((?i)")|((?i)\\{)|((?i)\\()|((?i)\\[)|((?i)[a-z_]\\w*)|((?i)\\b0[xX][\\da-f]+)|((?i)\\b\\d\\d*|\\b0\\b)|((?i)\\b0[0-7]+)|((?i)\\b(\\d*\\.\\d+)|(\\d+\\.\\d*))|((?mi)^[ \\t]*#include)|((?mii)^[ \\t]*#[ \\t]*[a-z]+)|((?i)\\d*\\.?\\d+)|((?i)\\/\\*)|((?i)\\/\\/.+)/',
76             3 => '/((?i)")|((?i)\\{)|((?i)\\()|((?i)\\[)|((?i)[a-z_]\\w*)|((?i)\\b0[xX][\\da-f]+)|((?i)\\b\\d\\d*|\\b0\\b)|((?i)\\b0[0-7]+)|((?i)\\b(\\d*\\.\\d+)|(\\d+\\.\\d*))|((?mi)^[ \\t]*#include)|((?mii)^[ \\t]*#[ \\t]*[a-z]+)|((?i)\\d*\\.?\\d+)|((?i)\\/\\*)|((?i)\\/\\/.+)/',
77             4 => '//',
78             5 => '/((?i)")|((?i)<)/',
79             6 => '/((?i)")|((?i)\\{)|((?i)\\()|((?i)[a-z_]\\w*)|((?i)\\b0[xX][\\da-f]+)|((?i)\\b\\d\\d*|\\b0\\b)|((?i)\\b0[0-7]+)|((?i)\\b(\\d*\\.\\d+)|(\\d+\\.\\d*))|((?i)\\/\\*)|((?i)\\/\\/.+)/',
80             7 => '/((?i)\\$\\w+\\s*:.+\\$)/',
81             8 => '/((?i)\\$\\w+\\s*:.+\\$)/',
82         );
83         $this->_counts = array (
84             -1 => 
85             array (
86                 0 => 0,
87                 1 => 0,
88                 2 => 0,
89                 3 => 0,
90                 4 => 0,
91                 5 => 0,
92                 6 => 0,
93                 7 => 0,
94                 8 => 2,
95                 9 => 0,
96                 10 => 0,
97                 11 => 0,
98                 12 => 0,
99                 13 => 0,
100             ),
101             0 => 
102             array (
103                 0 => 0,
104             ),
105             1 => 
106             array (
107                 0 => 0,
108                 1 => 0,
109                 2 => 0,
110                 3 => 0,
111                 4 => 0,
112                 5 => 0,
113                 6 => 0,
114                 7 => 0,
115                 8 => 2,
116                 9 => 0,
117                 10 => 0,
118                 11 => 0,
119                 12 => 0,
120                 13 => 0,
121             ),
122             2 => 
123             array (
124                 0 => 0,
125                 1 => 0,
126                 2 => 0,
127                 3 => 0,
128                 4 => 0,
129                 5 => 0,
130                 6 => 0,
131                 7 => 0,
132                 8 => 2,
133                 9 => 0,
134                 10 => 0,
135                 11 => 0,
136                 12 => 0,
137                 13 => 0,
138             ),
139             3 => 
140             array (
141                 0 => 0,
142                 1 => 0,
143                 2 => 0,
144                 3 => 0,
145                 4 => 0,
146                 5 => 0,
147                 6 => 0,
148                 7 => 0,
149                 8 => 2,
150                 9 => 0,
151                 10 => 0,
152                 11 => 0,
153                 12 => 0,
154                 13 => 0,
155             ),
156             4 => 
157             array (
158             ),
159             5 => 
160             array (
161                 0 => 0,
162                 1 => 0,
163             ),
164             6 => 
165             array (
166                 0 => 0,
167                 1 => 0,
168                 2 => 0,
169                 3 => 0,
170                 4 => 0,
171                 5 => 0,
172                 6 => 0,
173                 7 => 2,
174                 8 => 0,
175                 9 => 0,
176             ),
177             7 => 
178             array (
179                 0 => 0,
180             ),
181             8 => 
182             array (
183                 0 => 0,
184             ),
185         );
186         $this->_delim = array (
187             -1 => 
188             array (
189                 0 => 'quotes',
190                 1 => 'brackets',
191                 2 => 'brackets',
192                 3 => 'brackets',
193                 4 => '',
194                 5 => '',
195                 6 => '',
196                 7 => '',
197                 8 => '',
198                 9 => 'prepro',
199                 10 => 'prepro',
200                 11 => '',
201                 12 => 'mlcomment',
202                 13 => 'comment',
203             ),
204             0 => 
205             array (
206                 0 => '',
207             ),
208             1 => 
209             array (
210                 0 => 'quotes',
211                 1 => 'brackets',
212                 2 => 'brackets',
213                 3 => 'brackets',
214                 4 => '',
215                 5 => '',
216                 6 => '',
217                 7 => '',
218                 8 => '',
219                 9 => 'prepro',
220                 10 => 'prepro',
221                 11 => '',
222                 12 => 'mlcomment',
223                 13 => 'comment',
224             ),
225             2 => 
226             array (
227                 0 => 'quotes',
228                 1 => 'brackets',
229                 2 => 'brackets',
230                 3 => 'brackets',
231                 4 => '',
232                 5 => '',
233                 6 => '',
234                 7 => '',
235                 8 => '',
236                 9 => 'prepro',
237                 10 => 'prepro',
238                 11 => '',
239                 12 => 'mlcomment',
240                 13 => 'comment',
241             ),
242             3 => 
243             array (
244                 0 => 'quotes',
245                 1 => 'brackets',
246                 2 => 'brackets',
247                 3 => 'brackets',
248                 4 => '',
249                 5 => '',
250                 6 => '',
251                 7 => '',
252                 8 => '',
253                 9 => 'prepro',
254                 10 => 'prepro',
255                 11 => '',
256                 12 => 'mlcomment',
257                 13 => 'comment',
258             ),
259             4 => 
260             array (
261             ),
262             5 => 
263             array (
264                 0 => 'quotes',
265                 1 => 'quotes',
266             ),
267             6 => 
268             array (
269                 0 => 'quotes',
270                 1 => 'brackets',
271                 2 => 'brackets',
272                 3 => '',
273                 4 => '',
274                 5 => '',
275                 6 => '',
276                 7 => '',
277                 8 => 'mlcomment',
278                 9 => 'comment',
279             ),
280             7 => 
281             array (
282                 0 => '',
283             ),
284             8 => 
285             array (
286                 0 => '',
287             ),
288         );
289         $this->_inner = array (
290             -1 => 
291             array (
292                 0 => 'string',
293                 1 => 'code',
294                 2 => 'code',
295                 3 => 'code',
296                 4 => 'identifier',
297                 5 => 'number',
298                 6 => 'number',
299                 7 => 'number',
300                 8 => 'number',
301                 9 => 'prepro',
302                 10 => 'code',
303                 11 => 'number',
304                 12 => 'mlcomment',
305                 13 => 'comment',
306             ),
307             0 => 
308             array (
309                 0 => 'special',
310             ),
311             1 => 
312             array (
313                 0 => 'string',
314                 1 => 'code',
315                 2 => 'code',
316                 3 => 'code',
317                 4 => 'identifier',
318                 5 => 'number',
319                 6 => 'number',
320                 7 => 'number',
321                 8 => 'number',
322                 9 => 'prepro',
323                 10 => 'code',
324                 11 => 'number',
325                 12 => 'mlcomment',
326                 13 => 'comment',
327             ),
328             2 => 
329             array (
330                 0 => 'string',
331                 1 => 'code',
332                 2 => 'code',
333                 3 => 'code',
334                 4 => 'identifier',
335                 5 => 'number',
336                 6 => 'number',
337                 7 => 'number',
338                 8 => 'number',
339                 9 => 'prepro',
340                 10 => 'code',
341                 11 => 'number',
342                 12 => 'mlcomment',
343                 13 => 'comment',
344             ),
345             3 => 
346             array (
347                 0 => 'string',
348                 1 => 'code',
349                 2 => 'code',
350                 3 => 'code',
351                 4 => 'identifier',
352                 5 => 'number',
353                 6 => 'number',
354                 7 => 'number',
355                 8 => 'number',
356                 9 => 'prepro',
357                 10 => 'code',
358                 11 => 'number',
359                 12 => 'mlcomment',
360                 13 => 'comment',
361             ),
362             4 => 
363             array (
364             ),
365             5 => 
366             array (
367                 0 => 'string',
368                 1 => 'string',
369             ),
370             6 => 
371             array (
372                 0 => 'string',
373                 1 => 'code',
374                 2 => 'code',
375                 3 => 'identifier',
376                 4 => 'number',
377                 5 => 'number',
378                 6 => 'number',
379                 7 => 'number',
380                 8 => 'mlcomment',
381                 9 => 'comment',
382             ),
383             7 => 
384             array (
385                 0 => 'inlinedoc',
386             ),
387             8 => 
388             array (
389                 0 => 'inlinedoc',
390             ),
391         );
392         $this->_end = array (
393             0 => '/(?i)"/',
394             1 => '/(?i)\\}/',
395             2 => '/(?i)\\)/',
396             3 => '/(?i)\\]/',
397             4 => '/(?i)>/',
398             5 => '/(?mi)(?<!\\\\)$/',
399             6 => '/(?mi)(?<!\\\\)$/',
400             7 => '/(?i)\\*\\//',
401             8 => '/(?mi)$/',
402         );
403         $this->_states = array (
404             -1 => 
405             array (
406                 0 => 0,
407                 1 => 1,
408                 2 => 2,
409                 3 => 3,
410                 4 => -1,
411                 5 => -1,
412                 6 => -1,
413                 7 => -1,
414                 8 => -1,
415                 9 => 5,
416                 10 => 6,
417                 11 => -1,
418                 12 => 7,
419                 13 => 8,
420             ),
421             0 => 
422             array (
423                 0 => -1,
424             ),
425             1 => 
426             array (
427                 0 => 0,
428                 1 => 1,
429                 2 => 2,
430                 3 => 3,
431                 4 => -1,
432                 5 => -1,
433                 6 => -1,
434                 7 => -1,
435                 8 => -1,
436                 9 => 5,
437                 10 => 6,
438                 11 => -1,
439                 12 => 7,
440                 13 => 8,
441             ),
442             2 => 
443             array (
444                 0 => 0,
445                 1 => 1,
446                 2 => 2,
447                 3 => 3,
448                 4 => -1,
449                 5 => -1,
450                 6 => -1,
451                 7 => -1,
452                 8 => -1,
453                 9 => 5,
454                 10 => 6,
455                 11 => -1,
456                 12 => 7,
457                 13 => 8,
458             ),
459             3 => 
460             array (
461                 0 => 0,
462                 1 => 1,
463                 2 => 2,
464                 3 => 3,
465                 4 => -1,
466                 5 => -1,
467                 6 => -1,
468                 7 => -1,
469                 8 => -1,
470                 9 => 5,
471                 10 => 6,
472                 11 => -1,
473                 12 => 7,
474                 13 => 8,
475             ),
476             4 => 
477             array (
478             ),
479             5 => 
480             array (
481                 0 => 0,
482                 1 => 4,
483             ),
484             6 => 
485             array (
486                 0 => 0,
487                 1 => 1,
488                 2 => 2,
489                 3 => -1,
490                 4 => -1,
491                 5 => -1,
492                 6 => -1,
493                 7 => -1,
494                 8 => 7,
495                 9 => 8,
496             ),
497             7 => 
498             array (
499                 0 => -1,
500             ),
501             8 => 
502             array (
503                 0 => -1,
504             ),
505         );
506         $this->_keywords = array (
507             -1 => 
508             array (
509                 0 => -1,
510                 1 => -1,
511                 2 => -1,
512                 3 => -1,
513                 4 => 
514                 array (
515                     'reserved' => '/^(and|and_eq|asm|bitand|bitor|break|case|catch|compl|const_cast|continue|default|delete|do|dynamic_cast|else|for|fortran|friend|goto|if|new|not|not_eq|operator|or|or_eq|private|protected|public|reinterpret_cast|return|sizeof|static_cast|switch|this|throw|try|typeid|using|while|xor|xor_eq|false|true)$/',
516                     'registers' => '/^(ACSR|ADCH|ADCL|ADCSRA|ADMUX|ASSR|DDRA|DDRB|DDRC|DDRD|DDRE|DDRF|DDRG|EEARH|EEARL|EECR|EEDR|EICRA|EICRB|EIFR|EIMSK|ETIFR|ETIMSK|GICR|GIFR|ICR1H|ICR1L|ICR3H|ICR3L|MCUCR|MCUCSR|OCDR|OCR0|OCR1AH|OCR1AL|OCR1BH|OCR1BL|OCR1CH|OCR1CL|OCR2|OCR3AH|OCR3AL|OCR3BH|OCR3BL|OCR3CH|OCR3CL|OSCCAL|PINA|PINB|PINC|PIND|PINE|PINF|PING|PORTA|PORTB|PORTC|PORTD|PORTE|PORTF|PORTG|RAMPZ|SFIOR|SPCR|SPDR|SPH|SPL|SPMCR|SPMCSR|SPSR|SREG|TCCR0|TCCR1A|TCCR1B|TCCR1C|TCCR2|TCCR3A|TCCR3B|TCCR3C|TCNT0|TCNT1H|TCNT1L|TCNT2|TCNT3H|TCNT3L|TIFR|TIMSK|TWAR|TWBR|TWCR|TWDR|TWSR|UBRR0H|UBRR0L|UBRR1H|UBRR1L|UBRRH|UBRRL|UCSR0A|UCSR0B|UCSR0C|UCSR1A|UCSR1B|UCSR1C|UCSRA|UCSRB|UCSRC|UDR|UDR0|UDR1|WDTCR|XDIV|XMCRA|XMCRB)$/',
517                     'types' => '/^(auto|bool|char|class|const|double|enum|explicit|export|extern|float|inline|int|long|mutable|namespace|register|short|signed|static|struct|template|typedef|typename|union|unsigned|virtual|void|volatile|wchar_t)$/',
518                     'Common Macros' => '/^(NULL|TRUE|FALSE|MAX|MIN|__LINE__|__DATA__|__FILE__|__TIME__|__STDC__)$/',
519                 ),
520                 5 => 
521                 array (
522                 ),
523                 6 => 
524                 array (
525                 ),
526                 7 => 
527                 array (
528                 ),
529                 8 => 
530                 array (
531                 ),
532                 9 => -1,
533                 10 => -1,
534                 11 => 
535                 array (
536                 ),
537                 12 => -1,
538                 13 => -1,
539             ),
540             0 => 
541             array (
542                 0 => 
543                 array (
544                 ),
545             ),
546             1 => 
547             array (
548                 0 => -1,
549                 1 => -1,
550                 2 => -1,
551                 3 => -1,
552                 4 => 
553                 array (
554                     'reserved' => '/^(and|and_eq|asm|bitand|bitor|break|case|catch|compl|const_cast|continue|default|delete|do|dynamic_cast|else|for|fortran|friend|goto|if|new|not|not_eq|operator|or|or_eq|private|protected|public|reinterpret_cast|return|sizeof|static_cast|switch|this|throw|try|typeid|using|while|xor|xor_eq|false|true)$/',
555                     'registers' => '/^(ACSR|ADCH|ADCL|ADCSRA|ADMUX|ASSR|DDRA|DDRB|DDRC|DDRD|DDRE|DDRF|DDRG|EEARH|EEARL|EECR|EEDR|EICRA|EICRB|EIFR|EIMSK|ETIFR|ETIMSK|GICR|GIFR|ICR1H|ICR1L|ICR3H|ICR3L|MCUCR|MCUCSR|OCDR|OCR0|OCR1AH|OCR1AL|OCR1BH|OCR1BL|OCR1CH|OCR1CL|OCR2|OCR3AH|OCR3AL|OCR3BH|OCR3BL|OCR3CH|OCR3CL|OSCCAL|PINA|PINB|PINC|PIND|PINE|PINF|PING|PORTA|PORTB|PORTC|PORTD|PORTE|PORTF|PORTG|RAMPZ|SFIOR|SPCR|SPDR|SPH|SPL|SPMCR|SPMCSR|SPSR|SREG|TCCR0|TCCR1A|TCCR1B|TCCR1C|TCCR2|TCCR3A|TCCR3B|TCCR3C|TCNT0|TCNT1H|TCNT1L|TCNT2|TCNT3H|TCNT3L|TIFR|TIMSK|TWAR|TWBR|TWCR|TWDR|TWSR|UBRR0H|UBRR0L|UBRR1H|UBRR1L|UBRRH|UBRRL|UCSR0A|UCSR0B|UCSR0C|UCSR1A|UCSR1B|UCSR1C|UCSRA|UCSRB|UCSRC|UDR|UDR0|UDR1|WDTCR|XDIV|XMCRA|XMCRB)$/',
556                     'types' => '/^(auto|bool|char|class|const|double|enum|explicit|export|extern|float|inline|int|long|mutable|namespace|register|short|signed|static|struct|template|typedef|typename|union|unsigned|virtual|void|volatile|wchar_t)$/',
557                     'Common Macros' => '/^(NULL|TRUE|FALSE|MAX|MIN|__LINE__|__DATA__|__FILE__|__TIME__|__STDC__)$/',
558                 ),
559                 5 => 
560                 array (
561                 ),
562                 6 => 
563                 array (
564                 ),
565                 7 => 
566                 array (
567                 ),
568                 8 => 
569                 array (
570                 ),
571                 9 => -1,
572                 10 => -1,
573                 11 => 
574                 array (
575                 ),
576                 12 => -1,
577                 13 => -1,
578             ),
579             2 => 
580             array (
581                 0 => -1,
582                 1 => -1,
583                 2 => -1,
584                 3 => -1,
585                 4 => 
586                 array (
587                     'reserved' => '/^(and|and_eq|asm|bitand|bitor|break|case|catch|compl|const_cast|continue|default|delete|do|dynamic_cast|else|for|fortran|friend|goto|if|new|not|not_eq|operator|or|or_eq|private|protected|public|reinterpret_cast|return|sizeof|static_cast|switch|this|throw|try|typeid|using|while|xor|xor_eq|false|true)$/',
588                     'registers' => '/^(ACSR|ADCH|ADCL|ADCSRA|ADMUX|ASSR|DDRA|DDRB|DDRC|DDRD|DDRE|DDRF|DDRG|EEARH|EEARL|EECR|EEDR|EICRA|EICRB|EIFR|EIMSK|ETIFR|ETIMSK|GICR|GIFR|ICR1H|ICR1L|ICR3H|ICR3L|MCUCR|MCUCSR|OCDR|OCR0|OCR1AH|OCR1AL|OCR1BH|OCR1BL|OCR1CH|OCR1CL|OCR2|OCR3AH|OCR3AL|OCR3BH|OCR3BL|OCR3CH|OCR3CL|OSCCAL|PINA|PINB|PINC|PIND|PINE|PINF|PING|PORTA|PORTB|PORTC|PORTD|PORTE|PORTF|PORTG|RAMPZ|SFIOR|SPCR|SPDR|SPH|SPL|SPMCR|SPMCSR|SPSR|SREG|TCCR0|TCCR1A|TCCR1B|TCCR1C|TCCR2|TCCR3A|TCCR3B|TCCR3C|TCNT0|TCNT1H|TCNT1L|TCNT2|TCNT3H|TCNT3L|TIFR|TIMSK|TWAR|TWBR|TWCR|TWDR|TWSR|UBRR0H|UBRR0L|UBRR1H|UBRR1L|UBRRH|UBRRL|UCSR0A|UCSR0B|UCSR0C|UCSR1A|UCSR1B|UCSR1C|UCSRA|UCSRB|UCSRC|UDR|UDR0|UDR1|WDTCR|XDIV|XMCRA|XMCRB)$/',
589                     'types' => '/^(auto|bool|char|class|const|double|enum|explicit|export|extern|float|inline|int|long|mutable|namespace|register|short|signed|static|struct|template|typedef|typename|union|unsigned|virtual|void|volatile|wchar_t)$/',
590                     'Common Macros' => '/^(NULL|TRUE|FALSE|MAX|MIN|__LINE__|__DATA__|__FILE__|__TIME__|__STDC__)$/',
591                 ),
592                 5 => 
593                 array (
594                 ),
595                 6 => 
596                 array (
597                 ),
598                 7 => 
599                 array (
600                 ),
601                 8 => 
602                 array (
603                 ),
604                 9 => -1,
605                 10 => -1,
606                 11 => 
607                 array (
608                 ),
609                 12 => -1,
610                 13 => -1,
611             ),
612             3 => 
613             array (
614                 0 => -1,
615                 1 => -1,
616                 2 => -1,
617                 3 => -1,
618                 4 => 
619                 array (
620                     'reserved' => '/^(and|and_eq|asm|bitand|bitor|break|case|catch|compl|const_cast|continue|default|delete|do|dynamic_cast|else|for|fortran|friend|goto|if|new|not|not_eq|operator|or|or_eq|private|protected|public|reinterpret_cast|return|sizeof|static_cast|switch|this|throw|try|typeid|using|while|xor|xor_eq|false|true)$/',
621                     'registers' => '/^(ACSR|ADCH|ADCL|ADCSRA|ADMUX|ASSR|DDRA|DDRB|DDRC|DDRD|DDRE|DDRF|DDRG|EEARH|EEARL|EECR|EEDR|EICRA|EICRB|EIFR|EIMSK|ETIFR|ETIMSK|GICR|GIFR|ICR1H|ICR1L|ICR3H|ICR3L|MCUCR|MCUCSR|OCDR|OCR0|OCR1AH|OCR1AL|OCR1BH|OCR1BL|OCR1CH|OCR1CL|OCR2|OCR3AH|OCR3AL|OCR3BH|OCR3BL|OCR3CH|OCR3CL|OSCCAL|PINA|PINB|PINC|PIND|PINE|PINF|PING|PORTA|PORTB|PORTC|PORTD|PORTE|PORTF|PORTG|RAMPZ|SFIOR|SPCR|SPDR|SPH|SPL|SPMCR|SPMCSR|SPSR|SREG|TCCR0|TCCR1A|TCCR1B|TCCR1C|TCCR2|TCCR3A|TCCR3B|TCCR3C|TCNT0|TCNT1H|TCNT1L|TCNT2|TCNT3H|TCNT3L|TIFR|TIMSK|TWAR|TWBR|TWCR|TWDR|TWSR|UBRR0H|UBRR0L|UBRR1H|UBRR1L|UBRRH|UBRRL|UCSR0A|UCSR0B|UCSR0C|UCSR1A|UCSR1B|UCSR1C|UCSRA|UCSRB|UCSRC|UDR|UDR0|UDR1|WDTCR|XDIV|XMCRA|XMCRB)$/',
622                     'types' => '/^(auto|bool|char|class|const|double|enum|explicit|export|extern|float|inline|int|long|mutable|namespace|register|short|signed|static|struct|template|typedef|typename|union|unsigned|virtual|void|volatile|wchar_t)$/',
623                     'Common Macros' => '/^(NULL|TRUE|FALSE|MAX|MIN|__LINE__|__DATA__|__FILE__|__TIME__|__STDC__)$/',
624                 ),
625                 5 => 
626                 array (
627                 ),
628                 6 => 
629                 array (
630                 ),
631                 7 => 
632                 array (
633                 ),
634                 8 => 
635                 array (
636                 ),
637                 9 => -1,
638                 10 => -1,
639                 11 => 
640                 array (
641                 ),
642                 12 => -1,
643                 13 => -1,
644             ),
645             4 => 
646             array (
647             ),
648             5 => 
649             array (
650                 0 => -1,
651                 1 => -1,
652             ),
653             6 => 
654             array (
655                 0 => -1,
656                 1 => -1,
657                 2 => -1,
658                 3 => 
659                 array (
660                     'reserved' => '/^(and|and_eq|asm|bitand|bitor|break|case|catch|compl|const_cast|continue|default|delete|do|dynamic_cast|else|for|fortran|friend|goto|if|new|not|not_eq|operator|or|or_eq|private|protected|public|reinterpret_cast|return|sizeof|static_cast|switch|this|throw|try|typeid|using|while|xor|xor_eq|false|true)$/',
661                     'registers' => '/^(ACSR|ADCH|ADCL|ADCSRA|ADMUX|ASSR|DDRA|DDRB|DDRC|DDRD|DDRE|DDRF|DDRG|EEARH|EEARL|EECR|EEDR|EICRA|EICRB|EIFR|EIMSK|ETIFR|ETIMSK|GICR|GIFR|ICR1H|ICR1L|ICR3H|ICR3L|MCUCR|MCUCSR|OCDR|OCR0|OCR1AH|OCR1AL|OCR1BH|OCR1BL|OCR1CH|OCR1CL|OCR2|OCR3AH|OCR3AL|OCR3BH|OCR3BL|OCR3CH|OCR3CL|OSCCAL|PINA|PINB|PINC|PIND|PINE|PINF|PING|PORTA|PORTB|PORTC|PORTD|PORTE|PORTF|PORTG|RAMPZ|SFIOR|SPCR|SPDR|SPH|SPL|SPMCR|SPMCSR|SPSR|SREG|TCCR0|TCCR1A|TCCR1B|TCCR1C|TCCR2|TCCR3A|TCCR3B|TCCR3C|TCNT0|TCNT1H|TCNT1L|TCNT2|TCNT3H|TCNT3L|TIFR|TIMSK|TWAR|TWBR|TWCR|TWDR|TWSR|UBRR0H|UBRR0L|UBRR1H|UBRR1L|UBRRH|UBRRL|UCSR0A|UCSR0B|UCSR0C|UCSR1A|UCSR1B|UCSR1C|UCSRA|UCSRB|UCSRC|UDR|UDR0|UDR1|WDTCR|XDIV|XMCRA|XMCRB)$/',
662                     'types' => '/^(auto|bool|char|class|const|double|enum|explicit|export|extern|float|inline|int|long|mutable|namespace|register|short|signed|static|struct|template|typedef|typename|union|unsigned|virtual|void|volatile|wchar_t)$/',
663                     'Common Macros' => '/^(NULL|TRUE|FALSE|MAX|MIN|__LINE__|__DATA__|__FILE__|__TIME__|__STDC__)$/',
664                 ),
665                 4 => 
666                 array (
667                 ),
668                 5 => 
669                 array (
670                 ),
671                 6 => 
672                 array (
673                 ),
674                 7 => 
675                 array (
676                 ),
677                 8 => -1,
678                 9 => -1,
679             ),
680             7 => 
681             array (
682                 0 => 
683                 array (
684                 ),
685             ),
686             8 => 
687             array (
688                 0 => 
689                 array (
690                 ),
691             ),
692         );
693         $this->_parts = array (
694             0 => 
695             array (
696                 0 => NULL,
697             ),
698             1 => 
699             array (
700                 0 => NULL,
701                 1 => NULL,
702                 2 => NULL,
703                 3 => NULL,
704                 4 => NULL,
705                 5 => NULL,
706                 6 => NULL,
707                 7 => NULL,
708                 8 => NULL,
709                 9 => NULL,
710                 10 => NULL,
711                 11 => NULL,
712                 12 => NULL,
713                 13 => NULL,
714             ),
715             2 => 
716             array (
717                 0 => NULL,
718                 1 => NULL,
719                 2 => NULL,
720                 3 => NULL,
721                 4 => NULL,
722                 5 => NULL,
723                 6 => NULL,
724                 7 => NULL,
725                 8 => NULL,
726                 9 => NULL,
727                 10 => NULL,
728                 11 => NULL,
729                 12 => NULL,
730                 13 => NULL,
731             ),
732             3 => 
733             array (
734                 0 => NULL,
735                 1 => NULL,
736                 2 => NULL,
737                 3 => NULL,
738                 4 => NULL,
739                 5 => NULL,
740                 6 => NULL,
741                 7 => NULL,
742                 8 => NULL,
743                 9 => NULL,
744                 10 => NULL,
745                 11 => NULL,
746                 12 => NULL,
747                 13 => NULL,
748             ),
749             4 => 
750             array (
751             ),
752             5 => 
753             array (
754                 0 => NULL,
755                 1 => NULL,
756             ),
757             6 => 
758             array (
759                 0 => NULL,
760                 1 => NULL,
761                 2 => NULL,
762                 3 => NULL,
763                 4 => NULL,
764                 5 => NULL,
765                 6 => NULL,
766                 7 => NULL,
767                 8 => NULL,
768                 9 => NULL,
769             ),
770             7 => 
771             array (
772                 0 => NULL,
773             ),
774             8 => 
775             array (
776                 0 => NULL,
777             ),
778         );
779         $this->_subst = array (
780             -1 => 
781             array (
782                 0 => false,
783                 1 => false,
784                 2 => false,
785                 3 => false,
786                 4 => false,
787                 5 => false,
788                 6 => false,
789                 7 => false,
790                 8 => false,
791                 9 => false,
792                 10 => false,
793                 11 => false,
794                 12 => false,
795                 13 => false,
796             ),
797             0 => 
798             array (
799                 0 => false,
800             ),
801             1 => 
802             array (
803                 0 => false,
804                 1 => false,
805                 2 => false,
806                 3 => false,
807                 4 => false,
808                 5 => false,
809                 6 => false,
810                 7 => false,
811                 8 => false,
812                 9 => false,
813                 10 => false,
814                 11 => false,
815                 12 => false,
816                 13 => false,
817             ),
818             2 => 
819             array (
820                 0 => false,
821                 1 => false,
822                 2 => false,
823                 3 => false,
824                 4 => false,
825                 5 => false,
826                 6 => false,
827                 7 => false,
828                 8 => false,
829                 9 => false,
830                 10 => false,
831                 11 => false,
832                 12 => false,
833                 13 => false,
834             ),
835             3 => 
836             array (
837                 0 => false,
838                 1 => false,
839                 2 => false,
840                 3 => false,
841                 4 => false,
842                 5 => false,
843                 6 => false,
844                 7 => false,
845                 8 => false,
846                 9 => false,
847                 10 => false,
848                 11 => false,
849                 12 => false,
850                 13 => false,
851             ),
852             4 => 
853             array (
854             ),
855             5 => 
856             array (
857                 0 => false,
858                 1 => false,
859             ),
860             6 => 
861             array (
862                 0 => false,
863                 1 => false,
864                 2 => false,
865                 3 => false,
866                 4 => false,
867                 5 => false,
868                 6 => false,
869                 7 => false,
870                 8 => false,
871                 9 => false,
872             ),
873             7 => 
874             array (
875                 0 => false,
876             ),
877             8 => 
878             array (
879                 0 => false,
880             ),
881         );
882         $this->_conditions = array (
883         );
884         $this->_kwmap = array (
885             'reserved' => 'reserved',
886             'registers' => 'reserved',
887             'types' => 'types',
888             'Common Macros' => 'prepro',
889         );
890         $this->_defClass = 'code';
891         $this->_checkDefines();
892     }
893     
894 }