]> git.mxchange.org Git - flightgear.git/blob - src/Input/FGCommonInput.hxx
Advanced input subsystem - Step2: Split up current input subsystem
[flightgear.git] / src / Input / FGCommonInput.hxx
1 // FGCommonInput.hxx -- common functions for all Input subsystems
2 //
3 // Written by Torsten Dreyer, started August 2009
4 // Based on work from David Megginson, started May 2001.
5 //
6 // Copyright (C) 2009 Torsten Dreyer, Torsten (at) t3r _dot_ de
7 // Copyright (C) 2001 David Megginson, david@megginson.com
8 //
9 // This program is free software; you can redistribute it and/or
10 // modify it under the terms of the GNU General Public License as
11 // published by the Free Software Foundation; either version 2 of the
12 // License, or (at your option) any later version.
13 //
14 // This program is distributed in the hope that it will be useful, but
15 // WITHOUT ANY WARRANTY; without even the implied warranty of
16 // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
17 // General Public License for more details.
18 //
19 // You should have received a copy of the GNU General Public License
20 // along with this program; if not, write to the Free Software
21 // Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA.
22 //
23 // $Id$
24
25 #ifndef FGCOMMONINPUT_H
26 #define FGCOMMONINPUT_H
27
28 #include <vector>
29 #include <simgear/structure/SGBinding.hxx>
30
31 #if defined( UL_WIN32 )
32 #define TGT_PLATFORM    "windows"
33 #elif defined ( UL_MAC_OSX )
34 #define TGT_PLATFORM    "mac"
35 #else
36 #define TGT_PLATFORM    "unix"
37 #endif
38
39 class FGCommonInput {
40 public:
41   typedef vector<SGSharedPtr<SGBinding> > binding_list_t;
42
43   /*
44    read all "binding" nodes directly under the specified base node and fill the 
45    vector of SGBinding supplied in binding_list. Reads all the mod-xxx bindings and 
46    add the corresponding SGBindings.
47    */
48   static void read_bindings (const SGPropertyNode * base, binding_list_t * binding_list, int modifiers, string & module );
49 };
50
51 #endif